Header menu link for other important links
X
Memory centric characterization and analysis of SPec CPU 2017 suite
Sarabjeet Singh,
Published in Association for Computing Machinery, Inc
2019
Pages: 285 - 292
Abstract
In this paper, we provide a comprehensive, memory-centric characterization of the SPEC CPU2017 benchmark suite, using a number of mechanisms including dynamic binary instrumentation, measurements on native hardware using hardware performance counters and operating system based tools. We present a number of results including working set sizes, memory capacity consumption and memory bandwidth utilization of various workloads. Our experiments reveal that, on the x86_64 ISA, SPEC CPU2017 workloads execute a significant number of memory related instructions, with approximately 50% of all dynamic instructions requiring memory accesses. We also show that there is a large variation in the memory footprint and bandwidth utilization profiles of the entire suite, with some benchmarks using as much as 16 GB of main memory and up to 2.3 GB/s of memory bandwidth. We perform instruction distribution analysis of the benchmark suite and find that the average instruction count for SPEC CPU2017 workloads is an order of magnitude higher than SPEC CPU2006 ones. In addition, we also find that FP benchmarks of the suite have higher compute requirements: on average, FP workloads execute three times the number of compute operations as compared to INT workloads.
About the journal
JournalData powered by TypesetICPE 2019 - Proceedings of the 2019 ACM/SPEC International Conference on Performance Engineering
PublisherData powered by TypesetAssociation for Computing Machinery, Inc
Open AccessNo