Header menu link for other important links
X
Prediction based DRAM row-buffer management in the many-core era
, David Nellans W., Rajeev Balasubramonian, Al Davis
Published in
2011
Pages: 183 - 184
Abstract
Modern processors are experiencing interleaved memory access streams from different threads/cores, reducing the spatial locality that is seen at the memory controller, making the combined stream appear increasingly random. Traditional methods for exploiting locality at the DRAM level, such as open-page and timer-based policies, become less effective as the number of threads accessing memory increases. Employing closed-page policies in such systems can improve performance but it eliminates any possibility of exploiting locality. In this paper, we build upon the key insight that a history-based predictor that tracks the number of accesses to a given DRAM page is a much better indicator of DRAM locality than timer based policies. We extend prior work to propose a simple Access Based Predictor (ABP) that tracks limited access history at the page level to determine page closure decisions and does so with much smaller storage overhead than previously proposed policies. We show that ABP, with additional optimizations, can improve system throughput by 12.3% and 21.6% over open and closed-page policies, respectively. The proposed ABP requires 20 KB of storage overhead and is outside the critical path of memory access. {\textcopyright} 2011 IEEE.
About the journal
JournalParallel Architectures and Compilation Techniques - Conference Proceedings, PACT
ISSN1089795X
Open AccessNo